www.gusucode.com > PHP条码扫描管理系统 v1.0PHP源码程序 > PHP条码扫描管理系统 v1.0/wltmglxt_v1.0/wltmglxt_v1.0/upload/framework/i18n/data/zu_za.php

    <?php
/**
 * Locale data for 'zu_ZA'.
 *
 * This file is automatically generated by yiic cldr command.
 *
 * Copyright © 1991-2013 Unicode, Inc. All rights reserved.
 * Distributed under the Terms of Use in http://www.unicode.org/copyright.html.
 *
 * @copyright 2008-2014 Yii Software LLC (http://www.yiiframework.com/license/)
 */
return array (
  'version' => '8245',
  'numberSymbols' => 
  array (
    'decimal' => '.',
    'group' => ',',
    'list' => ';',
    'percentSign' => '%',
    'plusSign' => '+',
    'minusSign' => '-',
    'exponential' => 'E',
    'perMille' => '‰',
    'infinity' => '∞',
    'nan' => 'I-NaN',
  ),
  'decimalFormat' => '#,##0.###',
  'scientificFormat' => '#E0',
  'percentFormat' => '#,##0%',
  'currencyFormat' => '¤#,##0.00;(¤#,##0.00)',
  'currencySymbols' => 
  array (
    'AUD' => 'A$',
    'BRL' => 'R$',
    'CAD' => 'CA$',
    'CNY' => 'CN¥',
    'EUR' => '€',
    'GBP' => '£',
    'HKD' => 'HK$',
    'ILS' => '₪',
    'INR' => '₹',
    'JPY' => 'JP¥',
    'KRW' => '₩',
    'MXN' => 'MX$',
    'NZD' => 'NZ$',
    'THB' => '฿',
    'TWD' => 'NT$',
    'USD' => 'US$',
    'VND' => '₫',
    'XAF' => 'FCFA',
    'XCD' => 'EC$',
    'XOF' => 'CFA',
    'XPF' => 'CFPF',
    'ZAR' => 'R',
  ),
  'monthNames' => 
  array (
    'wide' => 
    array (
      1 => 'Januwari',
      2 => 'Februwari',
      3 => 'Mashi',
      4 => 'Apreli',
      5 => 'Meyi',
      6 => 'Juni',
      7 => 'Julayi',
      8 => 'Agasti',
      9 => 'Septhemba',
      10 => 'Okthoba',
      11 => 'Novemba',
      12 => 'Disemba',
    ),
    'abbreviated' => 
    array (
      1 => 'Jan',
      2 => 'Feb',
      3 => 'Mas',
      4 => 'Apr',
      5 => 'Mey',
      6 => 'Jun',
      7 => 'Jul',
      8 => 'Aga',
      9 => 'Sep',
      10 => 'Okt',
      11 => 'Nov',
      12 => 'Dis',
    ),
  ),
  'monthNamesSA' => 
  array (
    'narrow' => 
    array (
      1 => 'J',
      2 => 'F',
      3 => 'M',
      4 => 'A',
      5 => 'M',
      6 => 'J',
      7 => 'J',
      8 => 'A',
      9 => 'S',
      10 => 'O',
      11 => 'N',
      12 => 'D',
    ),
    'wide' => 
    array (
      1 => 'uJanuwari',
      2 => 'uFebruwari',
      3 => 'uMashi',
      4 => 'u-Apreli',
      5 => 'uMeyi',
      6 => 'uJuni',
      7 => 'uJulayi',
      8 => 'uAgasti',
      9 => 'uSepthemba',
      10 => 'u-Okthoba',
      11 => 'uNovemba',
      12 => 'uDisemba',
    ),
  ),
  'weekDayNames' => 
  array (
    'wide' => 
    array (
      0 => 'Sonto',
      1 => 'Msombuluko',
      2 => 'Lwesibili',
      3 => 'Lwesithathu',
      4 => 'uLwesine',
      5 => 'Lwesihlanu',
      6 => 'Mgqibelo',
    ),
    'abbreviated' => 
    array (
      0 => 'Son',
      1 => 'Mso',
      2 => 'Bil',
      3 => 'Tha',
      4 => 'Sin',
      5 => 'Hla',
      6 => 'Mgq',
    ),
    'short' => 
    array (
      0 => 'Isonto',
      1 => 'Umsombuluko',
      2 => 'Ulwesibili',
      3 => 'Ulwesithathu',
      4 => 'Ulwesine',
      5 => 'Ulwesihlanu',
      6 => 'Umgqibelo',
    ),
  ),
  'weekDayNamesSA' => 
  array (
    'narrow' => 
    array (
      0 => 'S',
      1 => 'M',
      2 => 'B',
      3 => 'T',
      4 => 'S',
      5 => 'H',
      6 => 'M',
    ),
    'short' => 
    array (
      0 => 'Isonto',
      1 => 'Umsombuluko',
      2 => 'Ulwesibili',
      3 => 'Ulwesithathu',
      4 => 'Ulwesine',
      5 => 'Ulwesihlanu',
      6 => 'Umgqibelo',
    ),
  ),
  'eraNames' => 
  array (
    'abbreviated' => 
    array (
      0 => 'BC',
      1 => 'AD',
    ),
    'wide' => 
    array (
      0 => 'BC',
      1 => 'AD',
    ),
    'narrow' => 
    array (
      0 => 'BC',
      1 => 'AD',
    ),
  ),
  'dateFormats' => 
  array (
    'full' => 'EEEE dd MMMM y',
    'long' => 'd MMMM y',
    'medium' => 'd MMM y',
    'short' => 'y-MM-dd',
  ),
  'timeFormats' => 
  array (
    'full' => 'h:mm:ss a zzzz',
    'long' => 'h:mm:ss a z',
    'medium' => 'h:mm:ss a',
    'short' => 'h:mm a',
  ),
  'dateTimeFormat' => '{1} {0}',
  'amName' => 'Ekuseni',
  'pmName' => 'Ntambama',
  'orientation' => 'ltr',
  'languages' => 
  array (
    'ab' => 'isi-Abkhazian',
    'ach' => 'Isi-Acoli',
    'af' => 'isiBhunu',
    'ak' => 'I-Akan',
    'am' => 'isi-Amharic',
    'ar' => 'isi-Alabhu',
    'as' => 'isi-Assamese',
    'ay' => 'isi-Aymara',
    'az' => 'isi-Azeria',
    'be' => 'isi-Belarusian',
    'bem' => 'Isi-Bemba',
    'bg' => 'isi-Bulgari',
    'bh' => 'IsiBhihari',
    'bn' => 'isi-Bengali',
    'bo' => 'isi-Tibetan',
    'br' => 'Isi-Breton',
    'bs' => 'isi-Bosnian',
    'ca' => 'isi-Catalan',
    'chr' => 'Isi-Cherokee',
    'ckb' => 'Isi-Sorani Kurdish',
    'co' => 'isi-Corsican',
    'cs' => 'isi-Czech',
    'cy' => 'isi-Welsh',
    'da' => 'isi-Danish',
    'de' => 'isiJalimani',
    'de_at' => 'isi-Austrian German',
    'de_ch' => 'isi-Swiss High German',
    'dv' => 'isi-Divehi',
    'dz' => 'isi-Dzongkha',
    'ee' => 'Isi-Ewe',
    'efi' => 'isi-Efik',
    'el' => 'isi-Greek',
    'en' => 'isiNgisi',
    'en_au' => 'isi-Austrillian English',
    'en_ca' => 'i-Canadian English',
    'en_gb' => 'i-British English',
    'en_us' => 'i-U.S. English',
    'eo' => 'isi-Esperanto',
    'es' => 'isiSpeyini',
    'es_419' => 'isi-Latin American Spanish',
    'es_es' => 'Isipenishi saseYurophu',
    'et' => 'isi-Estonia',
    'eu' => 'isi-Basque',
    'fa' => 'isi-Persian',
    'fi' => 'isi-Finnish',
    'fil' => 'isi-Filipino',
    'fj' => 'isi-Fijian',
    'fo' => 'isi-Faroese',
    'fr' => 'isiFulentshi',
    'fr_ca' => 'i-Canadian French',
    'fr_ch' => 'isi-Swiss French',
    'fy' => 'isi-Western Frisian',
    'ga' => 'isi-Irish',
    'gaa' => 'Isi-Ga',
    'gd' => 'i-Scottish Gaelic',
    'gl' => 'isi-Galicia',
    'gn' => 'isi-Guarani',
    'gsw' => 'isi-Swiss German',
    'gu' => 'isi-Gujarati',
    'ha' => 'isi-Hausa',
    'haw' => 'isi-Hawaiian',
    'he' => 'isi-Hebrew',
    'hi' => 'isiHindi',
    'hr' => 'isi-Croatian',
    'ht' => 'isi-Haitian',
    'hu' => 'isi-Hungarian',
    'hy' => 'isi-Armenia',
    'ia' => 'Izilimi ezihlangene',
    'id' => 'isi-Indonesian',
    'ie' => 'Izilimu',
    'ig' => 'isi-Igbo',
    'is' => 'isi-Icelandic',
    'it' => 'isi-Italian',
    'ja' => 'isi-Japanese',
    'jv' => 'isi-Javanese',
    'ka' => 'isi-Georgian',
    'kg' => 'Isi-Kongo',
    'kk' => 'isi-Kazakh',
    'km' => 'isi-Khmer',
    'kn' => 'isi-Kannada',
    'ko' => 'isi-Korean',
    'ks' => 'isi-Kashmiri',
    'ku' => 'isi-Kurdish',
    'ky' => 'isi-Kirghiz',
    'la' => 'isi-Latin',
    'lb' => 'isi-Luxembourgish',
    'lg' => 'Isi-Ganda',
    'ln' => 'isi-Lingala',
    'lo' => 'i-Lao',
    'loz' => 'Isi-Lozi',
    'lt' => 'isi-Lithuanian',
    'lua' => 'Isi-Luba-Lulua',
    'lv' => 'isi-Latvia',
    'mfe' => 'Isi-Morisyen',
    'mg' => 'isi-Malagasy',
    'mi' => 'isi-Maori',
    'mk' => 'isi-Macedonia',
    'ml' => 'isi-Malayalam',
    'mn' => 'isi-Mongolian',
    'mr' => 'isi-Marathi',
    'ms' => 'isi-Malay',
    'mt' => 'isi-Malta',
    'my' => 'isi-Burmese',
    'nb' => 'i-Norwegian Bokmål',
    'nd' => 'isi-North Ndebele',
    'ne' => 'isi-Nepali',
    'nl' => 'i-Dutch',
    'nl_be' => 'isi-Flemish',
    'nn' => 'i-Norwegian Nynorsk',
    'no' => 'IsiNoweyi',
    'nso' => 'isi-Northern Sotho',
    'ny' => 'isi-Nyanja',
    'nyn' => 'Isi-Nyankole',
    'oc' => 'Isi-Osithani',
    'om' => 'Isi-Oromo',
    'or' => 'isi-Oriya',
    'os' => 'isi-Ossetic',
    'pa' => 'isi-Punjabi',
    'pl' => 'isi-Polish',
    'ps' => 'isi-Pushto',
    'pt' => 'isi-Portuguese',
    'pt_br' => 'isi-Brazillian Portuguese',
    'pt_pt' => 'Isiputukezi saseYurophu',
    'qu' => 'isi-Quechua',
    'rm' => 'isi-Romansh',
    'rn' => 'isi-Rundi',
    'ro' => 'isi-Romanian',
    'ru' => 'isi-Russian',
    'rw' => 'isi-Kinyarwanda',
    'sa' => 'isi-Sanskrit',
    'sd' => 'isi-Sindhi',
    'se' => 'e-Northern Sami',
    'sg' => 'isi-Sango',
    'sh' => 'Serbo-Croatian',
    'si' => 'i-Sinhala',
    'sk' => 'isi-Slovak',
    'sl' => 'isi-Slovenian',
    'sm' => 'isi-Samoan',
    'sn' => 'isiShona',
    'so' => 'isi-Somali',
    'sq' => 'isi-Albania',
    'sr' => 'isi-Serbian',
    'ss' => 'isiSwati',
    'st' => 'isiSuthu',
    'su' => 'isi-Sundanese',
    'sv' => 'isi-Swedish',
    'sw' => 'isiSwahili',
    'ta' => 'isi-Tamil',
    'te' => 'isi-Telugu',
    'tet' => 'isi-Tetum',
    'tg' => 'isi-Tajik',
    'th' => 'isi-Thai',
    'ti' => 'isi-Tigrinya',
    'tk' => 'isi-Turkmen',
    'tlh' => 'Isi-Klingon',
    'tn' => 'isi-Tswana',
    'to' => 'Isi-Tongan',
    'tpi' => 'isi-Tok Pisin',
    'tr' => 'isi-Turkish',
    'ts' => 'isi-Tsonga',
    'tt' => 'isi-Tatar',
    'tum' => 'Isi-Tumbuka',
    'tw' => 'Twi',
    'ty' => 'isi-Tahitian',
    'ug' => 'isi-Uyghur',
    'uk' => 'isi-Ukrainian',
    'und' => 'Ulimi olungaziwa',
    'ur' => 'isi-Urdu',
    'uz' => 'isi-Uzbek',
    've' => 'isi-Venda',
    'vi' => 'isi-Vietnamese',
    'wo' => 'isi-Wolof',
    'xh' => 'isiXhosa',
    'yi' => 'Isi-Yidish',
    'yo' => 'isi-Yoruba',
    'zh' => 'isi-Chinese',
    'zh_hans' => 'isi-Sipmlified Chinese',
    'zh_hant' => 'isi-Traditional Chinese',
    'zu' => 'isiZulu',
    'zxx' => 'Akukho okuqukethwe kolimi',
  ),
  'scripts' => 
  array (
    'arab' => 'i-Perso-Arabic',
    'armn' => 'isi-Armenian',
    'beng' => 'isi-Bengali',
    'bopo' => 'i-Bopomofo',
    'brai' => 'i-Braille',
    'cyrl' => 'i-Cyrillic',
    'deva' => 'i-Devanagari',
    'ethi' => 'i-Ethiopic',
    'geor' => 'isi-Georgian',
    'grek' => 'isi-Greek',
    'gujr' => 'isi-Gujarati',
    'guru' => 'i-Gurmukhi',
    'hang' => 'i-Hangul',
    'hani' => 'i-Han',
    'hans' => 'i-Simplified Han',
    'hant' => 'i-Traditional Han',
    'hebr' => 'isi-Hebrew',
    'hira' => 'i-Hiragana',
    'jpan' => 'isi-Japanese',
    'kana' => 'i-Katakana',
    'khmr' => 'isi-Khmer',
    'knda' => 'isi-Kannada',
    'kore' => 'isi-Korean',
    'laoo' => 'i-Lao',
    'latn' => 'isi-Latin',
    'mlym' => 'isi-Malayalam',
    'mong' => 'isi-Mongolian',
    'mymr' => 'i-Myanmar',
    'orya' => 'isi-Oriya',
    'sinh' => 'i-Sinhala',
    'taml' => 'isi-Tamil',
    'telu' => 'isi-Telugu',
    'thaa' => 'i-Thaana',
    'thai' => 'isi-Thai',
    'tibt' => 'isi-Tibetan',
    'zsym' => 'Amasimbuli',
    'zxxx' => 'Okungabhaliwe',
    'zyyy' => 'i-Common',
    'zzzz' => 'Iskripthi esingaziwa',
  ),
  'territories' => 
  array (
    '001' => 'Umhlaba',
    '002' => 'i-Africa',
    '003' => 'e-North America',
    '005' => 'i-South America',
    '009' => 'i-Oceania',
    '011' => 'e-Western Africa',
    '013' => 'i-Central America',
    '014' => 'e-Eastern Africa',
    '015' => 'e-Northern Africa',
    '017' => 'e-Middle Africa',
    '018' => 'e-Southern Africa',
    '019' => 'Americas',
    '021' => 'e-Northern America',
    '029' => 'i-Caribbean',
    '030' => 'e-Eastern Asia',
    '034' => 'e-Southern Asia',
    '035' => 'e-South-Eastern Asia',
    '039' => 'e-Southern Europe',
    '053' => 'I-Australasia',
    '054' => 'i-Melanesia',
    '057' => 'e-Micronesian Region',
    '061' => 'i-Polynesia',
    142 => 'i-Asia',
    143 => 'i-Central Asia',
    145 => 'e-Western Asia',
    150 => 'i-Europe',
    151 => 'e-Eastern Europe',
    154 => 'e-Northern Europe',
    155 => 'e-Western Europe',
    419 => 'i-Latin America',
    'ac' => 'i-Ascension Island',
    'ad' => 'i-Andorra',
    'ae' => 'i-United Arab Emirates',
    'af' => 'i-Afghanistan',
    'ag' => 'i-Antigua and Barbuda',
    'ai' => 'i-Anguilla',
    'al' => 'i-Albania',
    'am' => 'i-Armenia',
    'an' => 'i-Netherlands Antilles',
    'ao' => 'i-Angola',
    'aq' => 'i-Antarctica',
    'ar' => 'i-Argentina',
    'as' => 'i-American Samoa',
    'at' => 'i-Austria',
    'au' => 'i-Australia',
    'aw' => 'i-Aruba',
    'ax' => 'i-Åland Islands',
    'az' => 'i-Azerbaijan',
    'ba' => 'i-Bosnia ne-Herzegovina',
    'bb' => 'i-Barbados',
    'bd' => 'i-Bangladesh',
    'be' => 'i-Belgium',
    'bf' => 'i-Burkina Faso',
    'bg' => 'i-Bulgaria',
    'bh' => 'i-Bahrain',
    'bi' => 'i-Burundi',
    'bj' => 'i-Benin',
    'bl' => 'i-Saint Barthélemy',
    'bm' => 'i-Bermuda',
    'bn' => 'i-Brunei',
    'bo' => 'i-Bolivia',
    'bq' => 'I-Caribbean Netherlands',
    'br' => 'i-Brazil',
    'bs' => 'i-Bahamas',
    'bt' => 'i-Bhutan',
    'bv' => 'i-Bouvet Island',
    'bw' => 'i-Botswana',
    'by' => 'i-Belarus',
    'bz' => 'i-Belize',
    'ca' => 'i-Canada',
    'cc' => 'i-Cocos (Keeling) Islands',
    'cd' => 'e-Congo [DRC]',
    'cf' => 'i-Central African Republic',
    'cg' => 'e-Congo [Republic]',
    'ch' => 'i-Switzerland',
    'ci' => 'i-Ivory Coast',
    'ck' => 'i-Cook Islands',
    'cl' => 'i-Chile',
    'cm' => 'i-Cameroon',
    'cn' => 'i-China',
    'co' => 'i-Colombia',
    'cp' => 'i-Clipperton Island',
    'cr' => 'i-Costa Rica',
    'cu' => 'i-Cuba',
    'cv' => 'i-Cape Verde',
    'cw' => 'I-Curaçao',
    'cx' => 'i-Christmas Island',
    'cy' => 'i-Cyprus',
    'cz' => 'i-Czech Republic',
    'de' => 'i-Germany',
    'dg' => 'e-Diego Garcia',
    'dj' => 'i-Djibouti',
    'dk' => 'i-Denmark',
    'dm' => 'i-Dominica',
    'do' => 'i-Dominican Republic',
    'dz' => 'i-Algeria',
    'ea' => 'i-Cueta ne-Melilla',
    'ec' => 'i-Ecuador',
    'ee' => 'i-Estonia',
    'eg' => 'i-Egypt',
    'eh' => 'i-Western Sahara',
    'er' => 'i-Eritrea',
    'es' => 'i-Spain',
    'et' => 'i-Ethiopia',
    'eu' => 'i-European Union',
    'fi' => 'i-Finland',
    'fj' => 'i-Fiji',
    'fk' => 'i-Falkland Islands (i-Islas Malvinas]',
    'fm' => 'i-Micronesia',
    'fo' => 'i-Faroe Islands',
    'fr' => 'i-France',
    'ga' => 'i-Gabon',
    'gb' => 'i-United Kingdom',
    'gd' => 'i-Grenada',
    'ge' => 'i-Georgia',
    'gf' => 'isi-French Guiana',
    'gg' => 'I-Guernsey',
    'gh' => 'i-Ghana',
    'gi' => 'i-Gibraltar',
    'gl' => 'i-Greenland',
    'gm' => 'i-Gambia',
    'gn' => 'i-Guinea',
    'gp' => 'i-Guadeloupe',
    'gq' => 'i-Equatorial Guinea',
    'gr' => 'i-Greece',
    'gs' => 'i-South Georgia ne-South Sandwich Islands',
    'gt' => 'i-Guatemala',
    'gu' => 'i-Guam',
    'gw' => 'i-Guinea-Bissau',
    'gy' => 'i-Guyana',
    'hk' => 'i-Hong Kong',
    'hm' => 'i-Heard Island ne-McDonald Islands',
    'hn' => 'i-Honduras',
    'hr' => 'i-Croatia',
    'ht' => 'i-Haiti',
    'hu' => 'i-Hungary',
    'ic' => 'i-Canary Islands',
    'id' => 'i-Indonesia',
    'ie' => 'i-Ireland',
    'il' => 'i-Israel',
    'im' => 'i-Isle of Man',
    'in' => 'i-India',
    'io' => 'i-British Indian Ocean Territory',
    'iq' => 'i-Iraq',
    'ir' => 'i-Iran',
    'is' => 'i-Iceland',
    'it' => 'i-Italy',
    'je' => 'isi-Jersey',
    'jm' => 'i-Jamaica',
    'jo' => 'i-Jordan',
    'jp' => 'i-Japan',
    'ke' => 'i-Kenya',
    'kg' => 'i-Kyrgyzstan',
    'kh' => 'i-Cambodia',
    'ki' => 'i-Kiribati',
    'km' => 'i-Comoros',
    'kn' => 'i-Saint Kitts ne-Nevis',
    'kp' => 'i-North Korea',
    'kr' => 'i-South Korea',
    'kw' => 'i-Kuwait',
    'ky' => 'i-Cayman Islands',
    'kz' => 'i-Kazakhstan',
    'la' => 'i-Laos',
    'lb' => 'i-Lebanon',
    'lc' => 'i-Saint Lucia',
    'li' => 'i-Liechtenstein',
    'lk' => 'i-Sri Lanka',
    'lr' => 'i-Liberia',
    'ls' => 'i-Lesotho',
    'lt' => 'i-Lithuania',
    'lu' => 'i-Luxembourg',
    'lv' => 'i-Latvia',
    'ly' => 'i-Libya',
    'ma' => 'i-Morocco',
    'mc' => 'i-Monaco',
    'md' => 'i-Moldova',
    'me' => 'i-Montenegro',
    'mf' => 'i-Saint Martin',
    'mg' => 'i-Madagascar',
    'mh' => 'i-Marshall Islands',
    'mk' => 'isi-Macedonia [FYROM]',
    'ml' => 'i-Mali',
    'mm' => 'e-Myanmar [Burma]',
    'mn' => 'i-Mongolia',
    'mo' => 'i-Macau',
    'mp' => 'i-Northern Mariana Islands',
    'mq' => 'i-Martinique',
    'mr' => 'i-Mauritania',
    'ms' => 'i-Montserrat',
    'mt' => 'i-Malta',
    'mu' => 'i-Mauritius',
    'mv' => 'i-Maldives',
    'mw' => 'i-Malawi',
    'mx' => 'i-Mexico',
    'my' => 'i-Malaysia',
    'mz' => 'i-Mozambique',
    'na' => 'i-Namibia',
    'nc' => 'i-New Caledonia',
    'ne' => 'i-Niger',
    'nf' => 'i-Norfolk Island',
    'ng' => 'i-Nigeria',
    'ni' => 'i-Nicaragua',
    'nl' => 'i-Netherlands',
    'no' => 'i-Norway',
    'np' => 'i-Nepal',
    'nr' => 'i-Nauru',
    'nu' => 'i-Niue',
    'nz' => 'i-New Zealand',
    'om' => 'i-Oman',
    'pa' => 'i-Panama',
    'pe' => 'i-Peru',
    'pf' => 'i-French Polynesia',
    'pg' => 'i-Papua New Guinea',
    'ph' => 'i-Philippines',
    'pk' => 'i-Pakistan',
    'pl' => 'i-Poland',
    'pm' => 'i-Saint Pierre kanye ne-Miquelon',
    'pn' => 'i-Pitcairn Islands',
    'pr' => 'i-Puerto Rico',
    'ps' => 'iPhalestina',
    'pt' => 'i-Portugal',
    'pw' => 'i-Palau',
    'py' => 'i-Paraguay',
    'qa' => 'i-Qatar',
    'qo' => 'i-Outlying Oceania',
    're' => 'i-Réunion',
    'ro' => 'i-Romania',
    'rs' => 'i-Serbia',
    'ru' => 'i-Russia',
    'rw' => 'i-Rwanda',
    'sa' => 'i-Saudi Arabia',
    'sb' => 'i-Solomon Islands',
    'sc' => 'i-Seychelles',
    'sd' => 'i-Sudan',
    'se' => 'i-Sweden',
    'sg' => 'i-Singapore',
    'sh' => 'i-Saint Helena',
    'si' => 'i-Slovenia',
    'sj' => 'I-Svalbard ne-Jan Mayen',
    'sk' => 'i-Slovakia',
    'sl' => 'i-Sierra Leone',
    'sm' => 'i-San Marino',
    'sn' => 'i-Senegal',
    'so' => 'i-Somalia',
    'sr' => 'i-Suriname',
    'ss' => 'Iningizimu Sudan',
    'st' => 'i-São Tomé kanye ne-Príncipe',
    'sv' => 'i-El Salvador',
    'sx' => 'I-Sint Maarten',
    'sy' => 'i-Syria',
    'sz' => 'i-Swaziland',
    'ta' => 'i-Tristan da Cunha',
    'tc' => 'i-Turks and Caicos Islands',
    'td' => 'i-Chad',
    'tf' => 'e-French Southern Territories',
    'tg' => 'i-Togo',
    'th' => 'i-Thailand',
    'tj' => 'i-Tajikistan',
    'tk' => 'i-Tokelau',
    'tl' => 'i-East Timor',
    'tm' => 'i-Turkmenistan',
    'tn' => 'i-Tunisia',
    'to' => 'i-Tonga',
    'tr' => 'i-Turkey',
    'tt' => 'i-Trinidad ne-Tobago',
    'tv' => 'i-Tuvalu',
    'tw' => 'i-Taiwan',
    'tz' => 'i-Tanzania',
    'ua' => 'i-Ukraine',
    'ug' => 'i-Uganda',
    'um' => 'e-U.S. Minor Outlying Islands',
    'us' => 'i-United States',
    'uy' => 'i-Uruguay',
    'uz' => 'i-Uzbekistan',
    'va' => 'i-Vatican City',
    'vc' => 'i-Saint Vincent ne-Grenadines',
    've' => 'i-Venezuela',
    'vg' => 'i-British Virgin Islands',
    'vi' => 'i-U.S. Virgin Islands',
    'vn' => 'i-Vietnam',
    'vu' => 'i-Vanuatu',
    'wf' => 'i-Wallis ne-Futuna',
    'ws' => 'i-Samoa',
    'xk' => 'I-Kosovo',
    'ye' => 'i-Yemen',
    'yt' => 'i-Mayotte',
    'za' => 'iNingizimu Afrika',
    'zm' => 'i-Zambia',
    'zw' => 'i-Zimbabwe',
    'zz' => 'Isifunda esingaziwa',
  ),
  'pluralRules' => 
  array (
    0 => 'n==1',
    1 => 'true',
  ),
);